三八资料网

 找回密码
 注册

QQ登录

只需一步,快速开始

手机号码,快捷登录

三八资料网 门户首页 电子技术 查看内容

资深工程师分享学习FPGA的一些经验,15条设计铁律,很实用!

2019-6-9 09:11| 发布者: 小管家| 查看: 72| 评论: 0

摘要: 从大学时代第一次接触FPGA 至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74 ...
从大学时代第一次接触FPGA 至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。


当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74系列逻辑器件搭建起来的。


后来读研究生,工作陆陆续续也用过Quartus  II、FoundaTIon、ISE、Libero,并且学习了verilog HDL语言,学习的过程中也慢慢体会到verilog的妙用,原来一小段语言就能完成复杂的原理图设计,而且语言的移植性可操作性比原理图设计强很多。



在学习一门技术之前我们往往从它的编程语言入手,比如学习单片机时,我们往往从汇编或者C语言入门。所以不少开始接触FPGA的开发人员,往往是从VHDL或者Verilog开始入手学习的。但我个人认为,若能先结合《数字电路基础》系统学习各种74系列逻辑电路 ,深刻理解逻辑功能,对于学习HDL语言大有裨益,往往会起到事半功倍的效果。


当然,任何编程语言的学习都不是一朝一夕的事,经验技巧的积累都是在点滴中完成,FPGA设计也无例外。下面就以我的切身体会,谈谈FPGA设计的经验技巧。


我们先谈一下FPGA的基础知识:


1.硬件设计基本原则。


FPGA(Field-Program mable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。


速度与面积平衡和互换原则:


• 一个设计如果时序余量较大,所能跑的频率远高于设计要求,能可以通过模块复用来减少整个设计消耗的芯片面积,这就是用速度优势换面积的节约;


反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么可以通过数据流串并转换,并行复制多个操作模块,对整个设计采用“乒乓操作”和“串并转换”的思想进行处理,在芯片输出模块处再对数据进行“并串转换”。从而实现了用面积复制换取速度的提高。


• 硬件原则:理解HDL本质。


• 系统原则:整体把握。


• 同步设计原则:设计时序稳定的基本原则。


2.Verilog作为一种HDL语言,对系统行为的建模方式是分层次的。


比较重要的层次有系统级、算法级、寄存器 传输级、逻辑级、门级、电路开关级。


3.实际工作中,除了描述仿真测试激励时使用for循环语句外,极少在RTL级编码中使用for循环。


这是因为for循环会被综合器展开为所有变量情况的执行语句,每个变量独立占用寄存器资源,不能有效的复用硬件逻辑资源,造成巨大的浪费。一般常用case语句代替。


4. if…else…和case在嵌套描述时是有很大区别的。


if…else…是有优先级的,一般来说,第一个if的优先级最高,最后一个else的优先级最低。而case语句是平行语句,它是没有优先级的,而建立优先级结构需要耗费大量的逻辑资源,所以能用case的地方就不要用if…else…语句。


补充:1.也可以用if…; if…; if…;描述不带优先级的“平行”语句。


5.FPGA一般触发器资源比较丰富,而CPLD组合逻辑资源更丰富。


6.FPGA和CPLD的组成。


FPGA基本有可编程I/O单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等6部分组成。


CPLD的结构相对比较简单,主要由可编程I/O单元、基本逻辑单元、布线池和其他辅助功能模块组成。


7.Block RAM.


3种块RAM结构,M512 RAM(512bit)、M4K RAM(4Kbit)、M-RAM(64Kbit)


• M512 RAM:适合做一些小的Buffer、FIFO、DPRAM、SPRAM、ROM 等;


• M4K RAM:适用于一般的需求;


• M-RAM:适合做大块数据的缓冲区。


Xlinx 和Lattice  FPGA的LUT可以灵活配置成小的RAM、ROM、FIFO等存储结构,这种技术被称为分布式RAM。


补充:但是在一般的设计中,不提倡用FPGA/CPLD的片内资源配置成大量的存储器,这是处于成本的考虑。所以尽量采用外接存储器。


8.善用芯片内部的PLL或DLL资源完成时钟的分频、倍频率、移相等操作。


不仅简化了设计,并且能有效地提高系统的精度和工作稳定性。


9.异步电路和同步时序电路的区别


异步电路:


• 电路核心逻辑有用组合电路实现;


• 异步时序电路的最大缺点是容易产生毛刺;


• 不利于器件移植;


• 不利于静态时序分析(STA)、验证设计时序性能。


同步时序电路:


• 电路核心逻辑是用各种触发器实现;


• 电路主要信号、输出信号等都是在某个时钟沿驱动触发器产生的;


• 同步时序电路可以很好的避免毛刺;


• 利于器件移植;


• 利于静态时序分析(STA)、验证设计时序性能。


10.同步设计中,稳定可靠的数据采样必须遵从以下两个基本原则


1)在有效时钟沿到达前,数据输入至少已经稳定了采样寄存器的Setup时间之久,这条原则简称满足Setup时间原则;


2)在有效时钟沿到达后,数据输入至少还将稳定保持采样寄存器的Hold时钟之久,这条原则简称满足Hold时间原则。


11.同步时序设计注意事项


• 异步时钟域的数据转换。


• 组合逻辑电路的设计方法。


• 同步时序电路的时钟设计。


同步时序电路的延迟。同步时序电路的延迟最常用的设计方法是用分频或者倍频的时钟或者同步计数器完成所需的延迟,对比较大的和特殊定时要求的延时,一般用高速时钟产生一个计数器,根据计数产生延迟;对于比较小的延迟,可以用D触发器打一下,这样不仅可以使信号延时了一个时钟周期,而且完成了信号与时钟的初次同步。


在输入信号采样和增加时序约束余量中使用。


另外,还有用行为级方法描述延迟,如“#5 a《=4’0101;”这种常用于仿真测试激励,但是在电路综合时会被忽略,并不能起到延迟作用。


Verilog 定义的reg型,不一定综合成寄存器。在Verilog代码中最常用的两种数据类型是wire和reg型,一般来说,wire型指定的数据和网线 通过组合逻辑实现,而reg型指定的数据不一定就是用寄存器实现。


12.常用设计思想与技巧


1)乒乓操作;


2)串并转换;


3)流水线操作;


4)异步时钟域数据同步。是指如何在两个时钟不同步的数据域之间可靠地进行数据交换的问题。数据时钟域不同步主要有两种情况:


①两个域的时钟频率相同,但是相差不固定,或者相差固定但是不可测,简称为同频异相问题。


②两个时钟频率根本不同,简称异频问题。


两种不推荐的异步时钟域操作方法:一种是通过增加Buffer或者其他门延时来调整采样;另一种是盲目使用时钟正负沿调整数据采样。



12下一页

路过

雷人

握手

鲜花

鸡蛋

最新评论

  • 资料分享
  • 三菱变频器A540电路图(包含电源,驱动 保
  • 户户通电源板应急修复的方法
  • 洗衣机上盖门轴断裂的修复,修制冷有焊炬的
  • 请问这洗衣机波轮螺丝太紧怎么拆开?
  • 看看这些采花贼正在做什么呢?高清彩图
  • IT小技巧:U盘装系统、密码破解、远程控制
  • 电脑开机进不了系统
  • 海尔LE48AL88C88 背光电流技改
  • 降低电流 延长灯条使用寿命
门户最新文章

QQ|门户地图|手机版|小黑屋|家电维修论坛 ( 蜀ICP备14030498号 )

GMT+8, 2024-4-25 20:00

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

返回顶部